-- entity declaration for a BCD counter with an -- asynchronous reset input. LIBRARY ieee; USE ieee.std_logic_1164.all; entity bcd_counter is port ( clock, en, reset : in std_logic; sync : out std_logic; q : out std_logic_vector (3 downto 0) ); end entity bcd_counter;