-- +-----------------------------+ -- | Copyright 1995-1996 DOULOS | -- | Library: analogue | -- | designer : Tim Pagden | -- | opened: 2 Feb 1996 | -- +-----------------------------+ -- Function: 8-bit ADC library ieee; library vfp; use ieee.std_logic_1164.all; use vfp.analog_class.all; entity ADC_8_bit is port ( analog_in : in analog; digital_out : out std_ulogic_vector(7 downto 0) ); end ADC_8_bit;