CATEGORY: [ ][ ][ ][ ][ ][ HDL ]
|
|
Part 1 | Part 2 | Part 3 - Papers | Part 4 | Part 5
|
1.IP Sections
- Alatek IP CORE Generator v2.0 for ALDEC's Active-HDL 4.2
- Alatek offers a new IP CORE Generator tool to assist users in the design stage of their projects. The simple front-end IP CORE Generator is fully integrated and dedicated to the simulator in Aldec's Active-HDL 4.2 design and verification environment.
The IP CORE Generator generates source code in two hardware description languages: VHDL and Verilog. Each generated module is IC vendor independent and can be adjusted to the user's custom requirements. Additionally, there are models that optimally support the XILINX® XC4000 device family. All generated models are fully synthesizeable with Synopsys FPGA Express, Synplicity Synplify and Exemplar logic synthesis tools. (2001.4.9)
- HDLC Controller Design - ECE 551 Final Project Report - [DOC][PDF] (2003.6.16)
- Free Floating-Point Madness! (2003.6.16)
- GM HC11 CPU Core (2003.6.27)
- PIC16C5x: Verilog Example (2003.8.31)
- 경북대학교, High Speed Digital Circuit Lab., 박정훈님께서 작성하신 PIC16C5x 호환 Microprocessor Source입니다...
- mempkg™ - Modelling Arbitrarily Large Memories in VHDL (2003.9.1)
- HDL Source Library - Yamaoka Hiroaki (2003.12.27)
- nnARM (2004.1.23)
- Complete netlist version of FPGA-8051 and FPGA-6805 synthesizable microcontroller IP cores (2004.1.24)
- Technical IP Protect (IP 권리보호를 위한 기술) 소개, 엄낙웅 / ETRI ASIC 개발팀장 (2004.4.16)
- Silicore Corporation Home Page, LGPL로 제공되는 PIC16C57호환 SLC1567 8bit Microcontroller Core와 VME64 to PCI Bridge SOC Core (2004.5.9)
- Original Synthetic PIC (old VHDL version) - 마이크로칩社의 16C5X와 호환되는 합성가능한 VHDL model입니다, Tom Coonan (2004.7.12)
- Free RISC8 (Verilog) - 마이크로칩社의 15C57과 바이너리 호환되는 RISC8 Processor의 합성가능한 Verilog model입니다, Tom Coonan (2004.7.12)
- Free chips for all, IBM developerWorks (2004.9.27)
- OPEN SOURCE, 부산대학교 전자공학과 집적회로 설계 연구실 (2005.3.19)
- The UCR Dalton Project - IP-Based Embedded System Design (2005.10.17)
- fpgacpu.org - 많은 분들께서 알고계시는 site중 하나입니다... 그러나, 2003년 2월 이후 전혀 update가 없습니다... 따라서 이곳에 보존을 위해서 mirroring하여 제공합니다... (2008.1.7)
- VHDL Soft Cores - High performance FPGA versions of popular microcontrollers... (2008.1.7)
2.References / Manuals / Papers
- The NASA ASIC Guide: Assuring ASICS for Space Draft 0.6 (2003.1.27)
- An ASIC Primer, LSI Logic Corporation (2004.1.18)
- Advanced High-level HDL Design Techniques for Programmable Logic (2003.2.15)
- VHDL Modeling Terminology and Taxonomy Version 3.1, May 26, 2000 (2003.3.10)
- A short primer on performing arithmetic with std_logic_vector- Bill Sackett / Symbol Technologies, Inc. (2003.8.30)
- VHDL / Verilog Coding for FPGAs, Produced by: Technically Speaking, Inc for DynaChip Corporation (2003.11.24)
- VHDL reference material, Department of Computer Science & Electrical Engineering, University of Maryland Baltimore County (2004.1.2)
- VHDL Reference Manual, March 1997, SYNARIO (2004.8.22)
- Synplify Pro에서 Synthesis후에 ModelSim에서 Simulation하기 위한 절차 및 방법, Synplicity Korea / Sr. F.A.E. / 김경모 (2004.1.4)
- On-line Verilog HDL Quick Reference Guide by Stuart Sutherland of Sutherland HDL, Inc. - Portland, Oregon, USA (2003.9.1)
- Comparison of VHDL, Verilog and SystemVerilog, Stephen Bailey, Technical Marketing Engineer, Model Technology (2004.3.12)
- The Ten Commandments of Excellent Design - VHDL Code Examples, Peter Chambers, Engineering Fellow, VLSI Technology (2004.8.15)
- VHDL Language Guide, Altium Limited. (2005.1.3)
- Verilog Coding Style for Efficient Digital Design, Kapil Batra &
Mohammad Suhaib Husain (2008.1.18)
- VHDL MINI-REFERENCE (2008.3.28)
- VeriBest FPGA Synthesis VHDL Reference Manual - DLA029300, Kirk Owyang/Synopsys - A.J. Herran/VeriBest (2008.3.31)
- VHDL Reference (2008.3.31)
- Comparing Verilog to VHDL Syntactically and Semantically, by Johan Sandstrom (2008.3.31)
3.Text Books
- 하드웨어 설계, 이제는 프로그래밍으로! - 월간 마이크로 소프트웨어 / 실전 강의실 VHDL / 곽종욱 (2004.1.11)
4.Tutorials
5. RESOURCES / Courses / Seminar
6. Misc. (Tools, Articles, etc...)
|
|
Send to a colleague | Print this document
|
|